China’s semiconductor materials are on the fast track

Given the current international trade situation, the importance of localization of chip manufacturing, especially high-end chip manufacturing, is becoming increasingly prominent. In this case, the improvement of self-sufficiency of semiconductor equipment and materials in the upstream of the industrial chain is also increasingly important, because it is difficult for a skillful woman to make a meal without rice. Without proper equipment and raw materials, the desired chip cannot be made.
In terms of semiconductor materials, they can be divided into manufacturing materials and packaging materials according to the application process. Here we mainly discuss chip manufacturing materials, which mainly include silicon wafers, special gases, mask plates, photoresists, wet electronic chemicals, target materials, CMP polishing fluids and polishing pads.
From the perspective of the global market, according to SEMI statistics, the investment in the construction of wafer factories in 2021 to 2023 reached a record high, and the capital expenditure in 2022 alone increased by 14% to reach 26 billion US dollars. In 2022, about 28 new wafer factories began to be constructed. The expansion of wafer capacity will certainly drive the continuous growth of the demand for semiconductor materials. Following the record high market size in 2021, the global semiconductor material market size will increase by 7% year on year in 2022, and the global semiconductor material market size is expected to exceed 70 billion US dollars in 2023.
In recent years, the proportion of Chinese Mainland’s semiconductor material consumption market in the world has continued to increase, rising to 18.6% in 2021, making it the world’s second largest market after Taiwan, China. However, on the supply side, the technical level of different semiconductor materials in Chinese Mainland is quite different, and the overall gap between China and foreign countries is obvious.
With the expansion of wafer production capacity, the advancement of technology and process, and the gradual improvement of China’s electronic semiconductor industry chain, a number of enterprises with good technical skills and great development potential have emerged in the field of semiconductor materials.
Now let’s take a look at various chip manufacturing materials and the development of related industries in Chinese Mainland.
01
silicon wafer
From the perspective of global semiconductor wafer supply, during the period of strong downstream demand, wafer shipments have been basically flat since 2022, reflecting that the global wafer production has almost reached its peak. Judging from the inventory of 12 inch wafers in wafer factories, the monthly input of silicon wafers in 2021 was greater than the purchase amount, and the inventory of silicon wafers in wafer factories continued to decline. However, since the second half of 2022, the inventory has rebounded. It seems that the supply and demand relationship for silicon wafers in 2023 will not be as tense as in the past few years.
However, the supply of 12-inch silicon wafers is still tight. The 12-inch silicon wafer expansion plans of the world’s five major manufacturers (Xinyue Chemical, SUMCO, GlobalWafers, Siltronic, SK Siltron) will be launched successively in the second half of 2021, and the new capacity will not be released until the second half of 2023 at the earliest. Therefore, SUMCO predicts that the global 12-inch silicon wafer shortage will not be alleviated until at least the end of 2023.
The last round of supply and demand imbalance of silicon wafers occurred in 2016-2018. Data from SEMI shows that the price of silicon wafers per unit area increased by 33.5% at that time, and the revenue and profit level of silicon wafers manufacturers increased rapidly. This round of imbalance between supply and demand is expected to trigger price rise again. From the recent revenue of the leading silicon chip enterprises, we can see that the performance of Xinyue Chemical, SUMCO and Global Wafer is expected to be strong. In the context of limited new capacity, price rise is the main means to improve performance. At the same time, the new capacity of these leading enterprises is expected to be released in the second half of 2023, and the proportion of long-term orders in the industry will increase.
In the year 2023 in Chinese Mainland, the capacity demand of wafer fabs will continue to be strong, which puts forward higher requirements for silicon chip demand.
Under the restriction of international trade, in order to meet the demand of the local market, silicon chip manufacturers in Chinese Mainland, especially the leading enterprises, such as Shanghai Silicon Industry, Zhonghuan, Lyon Micro and Shengong, are expanding their production capacity.
In January 2021, the Shanghai Silicon Industry disclosed the fixed increase plan, proposed to raise 5 billion yuan, and the large fund subscribed 1.5 billion yuan in the second phase, invested in the 12-inch silicon wafer research and development and advanced manufacturing project, and the 12-inch high-end silicon-based material research and development pilot project, and the new capacity could reach 300000 pieces/month. After the implementation of the project, the total capacity of 12-inch silicon wafer will reach 600000 pieces/month.https://www.slw-ele.com/
In March 2022, Lyon Micro invested 1.5 billion yuan to acquire 58.69% equity of Guojing Semiconductor to strengthen the market position of 12-inch silicon chips for storage and logic chips. Guojing Semiconductor has completed the infrastructure construction with a monthly production capacity of 400000 pieces, and the fully automated production line has been completed. The first phase of a monthly production capacity of 150000 pieces will be completed in the second half of 2023. In addition to 12 inch silicon wafers, Lyon Micro’s business of using 6 inch silicon wafers for power devices is also expanding, with a target monthly output of 60000 wafers. The monthly production capacity of power devices will be increased from 175000 wafers to 235000 wafers. These products are mainly positioned in the two major application directions of automotive electronics and photovoltaic control chips.
02
Photoresist
Thanks to the continuous progress of process nodes and the increase of the number of memory layers, the demand for semiconductor photoresist continues to grow. According to the statistics of TECHCET, the global photoresist market scale will increase by 7.5% year-on-year in 2022, reaching nearly 2.3 billion US dollars. From 2021 to 2026, the compound annual growth rate of the semiconductor photoresist market is expected to be 5.9%, of which the fastest growing products are EUV and KrF photoresists. TECHCET estimates that the global KrF photoresist composite growth rate will reach 8% from 2021 to 2025. The main driving force is 3D NAND, and the relevant wafer capacity is still expanding. The compound growth rate of EUV photoresist will reach 53% from 2020 to 2025. Such rapid growth is due to the rapid improvement of EUV capacity, including the continuous evolution of logic chip manufacturing nodes, and the introduction of EUV technology by DRAM.
The US, Japan and South Korea enterprises occupy 88% of the global photoresist market share. The photoresist enterprises in Chinese Mainland mainly include Nanda Optoelectronics, Jingrui Electric Materials, Tongcheng New Materials, Shanghai Xinyang, etc. Due to the late start of China’s semiconductor photoresist industry, the current market share is low.
There are first-mover advantages in the photoresist industry, but the technical barriers are not broken through. The photoresist verification cycle is long, and downstream customers will not easily replace it. Entering the customer’s new capacity supply chain is an important way to improve market influence. For example, TOK, a major international manufacturer, produces developer and edge remover, JSR produces bottom antireflective coating, and Xinyue produces quartz mask rough embryo and silicon antireflective coating. In terms of Chinese manufacturers, the KrF photoresist of Tongcheng New Materials has been supplied to customers such as SMIC International, Huahong Hongli, Wuhan Xinxin, and China Resources Shanghua in batches, including 12-inch and 8-inch production lines. At the same time, the company’s 20000 tons of photoresist related supporting reagent project will be completed and put into production in the second half of 2022.
03
Electron gas
Electronic gases include bulk electronic gases and electronic specialty gases, accounting for 13% of chip manufacturing costs. According to Techcet’s statistics, in 2021, the global market size of electronic gases reached 6.251 billion US dollars, of which electronic specialty gases accounted for 72.6% and electronic bulk gases accounted for 27.4%.
Special electronic gases are used in photolithography, etching, film forming, cleaning, doping, deposition and other processes, mainly including cleaning gases such as nitrogen trifluoride and metal vapor deposition gases such as tungsten hexafluoride.
In recent years, semiconductor manufacturing technology has changed rapidly. For example, the size of silicon wafer has developed from 6 inches and 8 inches to 12 inches, the manufacturing process has developed from 28 nm to 3 nm, and the display panel has developed from LCD to rigid OLED, and then to flexible and foldable OLED. These have promoted the refinement of electronic specialty gas. At the same time, as the global semiconductor, display panel and other industrial chains continue to shift to Asia and Chinese Mainland, the demand for electronic specialty gas with integrated circuits and display panels as the main applications grows rapidly. According to IC Insights, it is estimated that China’s IC manufacturing output value will reach 43.2 billion US dollars in 2025, and the self-sufficiency rate will further increase to 19.4%. According to Forst&Sullivan, from 2020 to 2024, China’s display panel market will have a compound annual growth rate of 6.34%, which has brought a sustained and strong driving effect on the demand for electronic features.
The global electronic special gas market is mainly controlled by four enterprises, namely Linde, Air Liquide, Air Chemical and Dayang Acid.
At present, China’s local special gas products are mainly concentrated in the middle and low end markets, and in the high-end special gas market where integrated circuit manufacturing is more widely used. Local enterprises have short boards with incomplete product categories and low purity. Domestic electronic special gas products are mainly concentrated in the low precision application links such as cleaning and partial etching, and mainly rely on imports in the high-precision doping, deposition, etching and photolithography links.
Special gases are dangerous chemicals and have high requirements for packaging and transportation. Once leakage occurs, it will cause serious consequences. Therefore, there are many inconveniences in importing special gases. The domestic special gas transportation and after-sales service are convenient, and the price is much lower than that of foreign products. In addition, the domestic products do not have the “neck” problem, and have a good development prospect.
04
Wet electronic chemicals
Wet electronic chemicals are various liquid chemical materials used in integrated circuits and optoelectronic wet processes (wet etching, wet cleaning).
According to the data of China Electronic Materials Industry Association, in 2021, the market size of China’s wet electronic chemicals will reach 13.78 billion yuan, with a CAGR of 17.3%, higher than the global average growth rate. It is expected that it will reach 30.17 billion yuan in 2028.
At present, the localization rate of wet electronic chemicals for semiconductors is low, about 20%, and that for display panels is about 40%. The continuous trade friction between China and the United States has further promoted the domestic substitution process of wet electronic chemicals.
Due to its late start, China’s wet electronic chemicals category richness and purification technology level lag behind foreign leading enterprises, with applications mostly concentrated in the low-end market, mainly supplying photovoltaic, low-generation flat panel displays, and wafer processing markets below 6 inches. The market share of G6 and G8 generation flat panel displays and wafer processing markets above 8 inches is only 10%.
In recent years, Chinese local enterprises have actively developed the high-end wet electronic chemicals market. The head manufacturers have the ability to produce G4 and G5 standard products. In combination with the localization advantages in transportation, price and after-sales, the future development space is broad, especially in the high-end market.
05
CMP material
CMP is a planarizing semiconductor surface process that combines chemical etching and mechanical polishing, and is a key process for achieving global uniform wafer planarization in integrated circuit manufacturing. CMP materials mainly include polishing pad and polishing fluid.
The hardness, rigidity, compressibility and other physical properties of CMP polishing pad have a significant impact on polishing quality, material removal rate and polishing pad life.
The polishing pad industry is characterized by technology-intensive, capital-intensive and high customer verification barriers, which leads to high market concentration, mainly occupied by Dow Chemical. The global market share of the company reaches 79%, and the top five manufacturers account for 91%. Almost all the CMP polishing pads used in the Chinese market rely on imports. Dow Chemical monopolizes nearly 90% of the Chinese market. At present, among the local enterprises in China, only Dinglong Co., Ltd. is capable of mass production. It is the only enterprise in China that fully grasps the core R&D and manufacturing technology of the whole process of CMP polishing pads.
The polishing fluid market is also controlled by foreign manufacturers. Representative enterprises include Cabot Microelectronics, Versum, Hitachi, Fujimi, Dow Chemical, etc., with a total market share of more than 80%. However, the global polishing fluid market pattern has a trend of decentralization. Cabot Microelectronics of the United States is the leading enterprise in the global polishing fluid market, with a market share of up to 80% in 2000 and a decline to 36% in 2017. The polishing fluid market has a relatively high degree of dispersion, and the trend of diversified development is good for local relevant enterprises in China. At present, Anji microelectronics has achieved a certain degree of domestic substitution.
Because CMP polishing pad and polishing fluid have a great impact on the chip yield, but the cost share is relatively low. In mature wafer factories, in order to ensure the chip yield, the original suppliers are rarely replaced. Therefore, for latecomers, the certification threshold is high and the certification cycle is long. At present, benefiting from the safe and controllable environment of the semiconductor industry chain, the certification speed of local CMP polishing pad and polishing fluid enterprises in China has been greatly accelerated, and the verification cycle has been shortened to about half a year.
06
Target material
Target is the key material for PVD deposition and film deposition. Relevant foreign enterprises are in the leading position in the target market. By 2021, the head target enterprises in the United States and Japan have accounted for 80% of the global market share, and the representative enterprises include JX Nippon Metal, Honeywell, Dongcao and Plex. In recent years, China’s local leading enterprises have grown rapidly. Jiangfeng Electronics, Youyan New Materials, Ashi Chuang, Longhua Technology, etc. have all opened up a new space.
07
epilogue
China’s integrated circuit industry has developed relatively late. The market share of local semiconductor material enterprises is significantly lower than that of major international manufacturers, and the volume of revenue and profit is relatively small. In addition, compared to international manufacturers, there is also a significant gap in the richness and purity of products among Chinese local enterprises.
In recent years, in the context of domestic substitution demand, some local semiconductor material products have begun to be verified in domestic wafer manufacturers and have successively obtained orders. With the increase of international trade restrictions, there are more and more opportunities for Chinese local materials to enter the supply chain of local wafer factories. In the period of China’s semiconductor capacity expansion and the complex international environment, the urgency of the localization of the industrial chain continues to increase, and domestic semiconductor materials are expected to further increase market share.

By hmimcu